SVEditor Changelog

What's new in SVEditor 2.1.5

Sep 3, 2018
  • #(enh - 138) - Match file paths in the console with a format "path", line

New in SVEditor 2.1.4 (May 5, 2018)

  • #(520) - Correct issue wih coverpoint 'X with' expression
  • #(nobug) - Correct a conflict between the string and single-line comment highlighters that caused a comment embedded in a string to not be displayed correctly

New in SVEditor 2.1.2 (Mar 8, 2018)

  • Automatically link files referenced by the filelist into the project. This allows the "open resource" operation and file search to see external files. There's more work to be done here, but initial functionality is in place.

New in SVEditor 2.1.1 (Jan 30, 2018)

  • Correct an issue with the new error-recovery code when the number of errors exceeds 100 (the preset limit)

New in SVEditor 2.1.0 (Jan 21, 2018)

  • Correct parse bug with interface class multiple extension
  • Ensure built-in classes with __sv_builtin prefix are not
  • provided as content-assist proposals
  • Improve parsing error recovery to resume parsing the next
  • file. This improves error recovery when parsing a package that
  • sub-includes several files.

New in SVEditor 2.0.9 (Jan 7, 2018)

  • Add scrollbar to New Class "Add to Package" wizard

New in SVEditor 2.0.7 (Nov 26, 2017)

  • Restored content-assist for built-in types (queues, arrays, process, etc)
  • Connect the 'Rebuild SV Index' operation to the new build console

New in SVEditor 2.0.6 (Nov 11, 2017)

  • #(enh) - Enhance the editor performance when used with large files. This was done by changing how annotations (eg error markers, folding regions) are added and updated
  • #(enh) - Extend SystemVerilog build console support to incremental builds and project initialization

New in SVEditor 2.0.5 (Nov 6, 2017)

  • (enh) - Add a SystemVerilog build console that shows the index process
  • (504) - Wrong indentation using DPI keywords

New in SVEditor 2.0.4 (Sep 18, 2017)

  • #(509) - Correct incorrect indentation of a labeled covergroup
  • #(508) - Correct incorrect parsing of implication within an expression
  • #(507) - Correct issue parsing 'unique' constraint inside with block

New in SVEditor 2.0.3 (Aug 17, 2017)

  • Incorrect indent of `elsif
  • SVEditor (including Eclipse) crashes after character insertion

New in SVEditor 2.0.2 (Jul 13, 2017)

  • Format /beautifier of new feature matching begin/end code is not working with some cases, besides it is broken in 2.0.1
  • A few more fixes to (hopefully) prevent this bug.

New in SVEditor 2.0.1 (Jul 10, 2017)

  • Reorganize structure of package hierarchy view
  • Editor scrolls to start of file when saving with "Remove trailing whitespace" enabled
  • Improvement Request: Highlight matching brace on begin / end
  • Correct object-type ID issue for new 'unique constraint' object
  • Format /beautifier of special code in systemverilog is wrong (interface class)
  • Add support for interface class and 'implements' clause of class declaration
  • Update build-script launcher to support termination.
  • Outline view is now properly shown for files that are not part of the index

New in SVEditor 2.0.0.2 Release (Jun 30, 2017)

  • Correct object-type ID issue for new 'unique constraint' object

New in SVEditor 2.0.0.1 Beta (Jun 5, 2017)

  • Move back to building SVEditor Java7 (vs Java8)

New in SVEditor 2.0.0 Beta (May 31, 2017)

  • Add Classes, Modules, and Interfaces sub-sections to project explorer
  • Add Classes node to the Objects view
  • Indent of forever body is incorrect
  • Goto Next / Previous Member not behaving as expected
  • Indent when adding Ndocs using Alt+Shift+J incorrect
  • Auto-indent: <TAB> at line begin should set correct indent
  • Outline: Tracking of cursor has issues when code is folded
  • Support change of matching bracket color in SVeditor - eclipse
  • Parser: Optional second parameter for timeunit
  • Editor: Find Next / Previous element doesn't behave when code folding is active
  • Feature request: remove trailing whitespace on save (Window>Preferences>SVEditor>SaveActions)
  • Feature request: Format file on save (Window>Preferences>SVEditor>SaveActions)
  • Support class extension from a parameterized class with
  • '#' omitted. For example: class ext extends base (1,2,3);
  • Add support for exporting Custom Code Templates with preferences

New in SVEditor 1.9.9 Beta (May 3, 2017)

  • Show package structure in the Type Hierarchy view (F4)
  • Clean up Project Paths virtual folder. The folder is now named 'SV Contents'
  • Add "Packages" entry to 'Project Paths' virtual folder
  • Enable double-click navigation to packages from 'Packages' list shown in the navigator
  • Indent of default clocking block body is incorrect
  • Unique is not supported by SVE
  • Indenter: constraint Unique statement not indented correctly
  • Correct handling of multi-line strings as macro parameters

New in SVEditor 1.9.7 (Mar 29, 2017)

  • Update Project Explorer decorator to recognize when files in a linked folder are being indexed via an absolute path (eg ${PROJECT_LOC}/../../folder/...)
  • Remove Global Defines tab from project properties, since it duplicates functionality provided by the filelist
  • Correct incorrect expansion of `" macro pattern
  • Fixed a weird copy paste problem

New in SVEditor 1.7.9 (May 11, 2015)

  • Indenter: Named fork / join not indenting properly
  • Added support for default clocking that references a previously-defined
  • clocking block.
  • (enh) - Add hyperlink support for files outside the workspace to the
  • Build Script Launch' console.
  • (enh) - Add hyperlink support for line numbers that directly follow the path. For example: /home/foobar/file.svh 27
  • (enh) - Major restructuring of the lexer and preprocessor that will, in
  • some cases, boost performance and reduce memory footprint.

New in SVEditor 1.7.8 (Apr 16, 2015)

  • Corrected a NPE when parsing properties. Added more debug info as well.
  • Indenter issue with single line `ifdef

New in SVEditor 1.7.6 (Apr 1, 2015)

  • Correct an issue with Open Declaration for included files.
  • Update New Class/Interface/Module/Package wizards to use the file_header/file_footer settings from the SV Template Properties page
  • Correct an issue with multi-line template parameters on Windows.
  • The '\r' character in line-endings introduced extraneous whitespace
  • Bracket matching doesn't move cursor if off screen
  • Fixed this item
  • Also fixed lockup if your cursor was to the right of the close )
  • Bracket matching - selection of text within brackets
  • Implemented... easy enough to take out if not requested
  • Add shortcut-key support for collapsing/expanding folding regions. CTRL+NUMPAD_MINUS (default) collapses a folding region, while CTRL+NUMPAD_PLUS expands a folding region.
  • Specify parsing +=>
  • Added support for other -=>, +=>, -*>, +*>
  • Indenter not properly handling assert property directives
  • This has been improved. Still choking on a label before assert property as in "ap_label: assert property (..."

New in SVEditor 1.7.5 (Mar 25, 2015)

  • Indenter not properly handling `ifdef/`endif
  • Enhance script-launcher console to identify and add insert hyperlinks for file paths.
  • Fixed issue with GotoMatchingBracket where "end" was searching forwards instead of backwards.
  • Also updated so that if your cursor is to the right of a closing brace (or end) the command will still work, allowing us to toggle between the two points without moving the cursor
  • Cursor position after fixing indentation / formatting of file will now be maintained on the current line
  • Correct an issue when using the Eclipse 'History' view to view an old revision of a file.
  • The EGit implementation provided misleading filename information to Eclipse, which resulted in the document being improperly configured.
  • Content Assist Doesn't Show SystemVerilog Keyword
  • Added module, package and class.

New in SVEditor 1.7.4 (Mar 9, 2015)

  • Correct an indenter bug with 'specify' blocks that caused the indenter to hang
  • Recognize 'TODO' and 'FIXME' task tags (no colon)
  • Propagate Debug/Run Console preferences to SVE console. This allows the user to control font colors, as well as limit the amount of text saved the console
  • Implemented console-size limits to address heap-overflow issue

New in SVEditor 1.7.2 (Feb 11, 2015)

  • Correct a bug in the SystemVerilog Template wizard. Now the destination folder is properly-populated when the selection is a CDT project folder
  • Correct a parse bug involving a DPI import function with an escaped C-identifier.
  • Correct a parse bug in an assertion goto-repetition expression
  • Correct a parse bug involving array references in a specify path
  • Correct indent behavior around specify blocks

New in SVEditor 1.6.9 (Jan 8, 2015)

  • Add a New Filelist wizard that supports creating a new argument file -- either completely blank or pre-populated with existing source paths

New in SVEditor 1.6.8 (Dec 29, 2014)

  • Outline View: defparams not behaving correctly
  • Unhandled Exception: Unhandled expr in expr_to_string: NameMappedExp Didn't fix this one, but hid the exception. Outline view won't look complete, but basic data is there incase it is needed TODO still in code, item not marked as fixed in bug tracker
  • Enhance debug logging for declaration-lookup failures
  • Add NaturalDoc support for the Macro keyword. This allows macros to be documented
  • Update the hover popup to show multiple pieces of information about the element that the mouse is over. Now, hover will show documentation (if present), declaration information, and allow the user to see the expansion of macros
  • Correct a parse error involving an initialization expression for a multi-dimensional associative array.
  • Remove a misleading error message that occurs due to unhandled expression elements in expression-to-string conversion

New in SVEditor 1.6.7 (Dec 8, 2014)

  • Add support for opening the declaration of an element by double-clicking in the Outline view
  • Correct incorrect indentation after a randomize with {} block
  • Correct a bug when performing the Open Declaration operation on a module port. Location information was not being applied to module ports
  • Correct attribute-parse bug when attributes are applied to module ports

New in SVEditor 1.6.5 (Nov 20, 2014)

  • Trial bug-fix for interoperability issue with revision-control plugins

New in SVEditor 1.6.4 (Nov 18, 2014)

  • Correct an issue where statements with an always_comb were being displayed in the outline view.
  • Correct parse bug involving path-dependent specify-block paths
  • Correct parse bug involving path-dependent specify-block paths
  • Implement linking between editor and outline view.

New in SVEditor 1.6.3 (Nov 12, 2014)

  • Update the SV Template Descriptor editor to properly work with read-only files and revision-control plug-ins. Now, if a file is read-only, the user will be prompted.
  • Correct a constraint-block parse error.
  • Correct a parse error involving binding to an arrayed module instance
  • Correct a parse error involving array-element references in a property

New in SVEditor 1.6.2 (Oct 27, 2014)

  • Correct a bug in recursive-inclusion handling
  • Correct a spurious exception thrown when checking an invalid include path
  • Correct a parse bug involving cycle delay (eg ##1)
  • Add support for auto-folding tasks and functions

New in SVEditor 1.6.1 (Oct 21, 2014)

  • Fixed always_latch default instantiation - added clk
  • RemoveBlockComment (Ctrl+Shift+\) no longer needs to have anything selected to operate. As long as the cursor is in a block comment the comment will be removed.
  • Correct a bug involving auto-comment completion and files with mixed line endings.
  • Correct parse error involving transition-bin patterns
  • Update content assist to use the same information provider that the 'hover' in the editor uses. This supports more HTML markup (such as fixed-size fonts) than does the Eclipse default.

New in SVEditor 1.6.0 (Sep 25, 2014)

  • Allow projects to specify template paths via the project properties dialog.
  • Add initial implementation of content assist for class-type parameters in the template-instantiation wizard.

New in SVEditor 1.5.9 (Sep 19, 2014)

  • Correct naming on 'New Package' wizard. The wizard was previously titled 'New Interface' due to a cut/paste error
  • Change implementation of CTRL+/ for VHDL editor to be 'Toggle Comment' rather than just 'Add Comment'
  • Interim solution to this bug. Class-type template parameters are now treated as string-type parameters and can be edited
  • Correct select-next-word behavior when using this action to reduce the size of an existing selection

New in SVEditor 1.5.8 (Sep 15, 2014)

  • (327) Indenter issue with assert statements
  • enh) Add beginnings of basic VHDL editing support
  • Keyword, comment, and string coloring
  • Block comment/un-comment (CTRL+/ ; CTRL+\)
  • Matching-brace highlighting

New in SVEditor 1.5.7 (Aug 26, 2014)

  • Correct handling of escaped identifiers
  • Corrected indentation of generate blocks
  • Comments after assign statements incorrectly indented
  • (nobug) - Sorting out open & close brace indent on assign and other similar statements
  • (nobug) - Correct a NPE in the StyledText label provider with assign statements

New in SVEditor 1.5.6 (Apr 23, 2014)

  • (nobug) - Correct a parse bug involving binsof expressions with
  • bin indexes
  • (nobug) - Correct a bug involving relative include directives: `include "../../foo.svh"

New in SVEditor 1.5.5 (Mar 31, 2014)

  • (enh) - Add initial support for finding class extension references
  • (enh) - Enhance hierarchy view to show the classes that extend the
  • subject class

New in SVEditor 1.5.4 (Mar 3, 2014)

  • (nobug) - Correct an issue with the recently-added checker for unbalanced pre-processor directives. This could cause expansion of a macro within an enabled region to cause part of the pre-processor stack to incorrectly be cleared
  • (nobug) - Correct a parse bug involving hierarchical foreach loop variables
  • (nobug) - Correct an issue with locating macros defined in previous files
  • when live-parsing a file that was processed in MFCU mod

New in SVEditor 1.5.3 (Feb 17, 2014)

  • (nobug) - Correct a parse bug involving a function that returns a virtual interface handle
  • (316) - Correct a bug involving unbalanced pre-processor directives
  • (enh) - Add checking for unbalanced pre-processor directives. Error markers are now added when unbalanced directives are seen.
  • (nobug) - Correct a parse bug involving an assert statement with a block body statement.
  • (nobug) - Correct a parse bug involving a cast expression in a parameter assignment
  • (nobug) - Correct a parse bug that prevented covergroup declaration in a package scope
  • (nobug) - Correct a pre-processor bug that in some cases led to incorrect characters being included with a macro reference
  • (nobug) - Correct a parse bug that could cause variable declarations of vectored user-defined types in behavioral scopes to be flagged as errors
  • (317) - Correct a parse conflict between a constraint set and a concat.

New in SVEditor 1.5.2 (Feb 7, 2014)

  • (315) - Correct an issue with the task/function override-annotation finding code. In some cases, virtual methods from classes declared in included files would be marked in the active source file.
  • (nobug) - Add compilation-argument import support for -mfcu option
  • (enh) - During compilation-argument import, add support for executing the commands being intercepted by the compiler wrappers. Sometimes a compilation failure causes the entire process to terminate early.

New in SVEditor 1.5.1 (Feb 4, 2014)

  • #(309) - Correct a NPE in the label provider when an unlabeled generate
  • statement is inferred from a begin block within a generate block
  • #(enh) - Add defparam statements to the Outline view
  • #(enh) - Add content assist support for parameters declared on the containing module
  • #(enh) - Align content assist support for interface instantiation with the support already present for module instantiation
  • #(fr 86) - Enhance handling of undefined macros to reduce the number of follow-on parse errors.
  • #(312) - Correct a bug involving missing include files due to incompletely-specified include directories. Previously, editors would still show the include files as missing even after correcting the include directories. Now, the editors show correct information.
  • #(314) - Allow module declarations without a terminating ';'. This appears to occur when the module has a protected-content body.

New in SVEditor 1.5.0 (Jan 3, 2014)

  • #(enh) - Change content-assist label provider to display the type name of
  • built-in net proposals (eg wire[14:0])
  • #(enh 80) - Add support for code completion of hierarchical references
  • that use macros. For example: `TOP.sub.signal
  • #(306) - Corrected a bug involving auto-indent of code templates when
  • preference settings cause spaces rather than tabs to be inserted.
  • #(sr 10) - Add support for multiple content-assist contexts, which allows
  • code templates to be selected based on how the content begins as well as
  • the name associated with the code template.
  • #(enh 68) - Added default code templates for some commonly used structures:
  • always_ff, always_latch, always_comb, if, else, do, while, for, case, casez, casex,
  • function, task, initial, final, assert

New in SVEditor 1.4.9 (Dec 16, 2013)

  • #(298) - Correct a pre-processor bug involving comments inside a macro call
  • #(300) Natural Docs update - Correctly handle (start code) ... (end) blocks in comments
  • #(301) Leading white space at start of code section getting corrupted
  • #(302) Bullets in pop-up help
  • #(303) NDOC Parser - Links not showing up in summary table

New in SVEditor 1.4.8 (Dec 9, 2013)

  • #(292) - Property parser bug corrected
  • #(enh 81) - Add preference setting for how auto-reparse is done within the
  • active editor. If set to -1, re-parse is only performed when the file is
  • saved. Otherwise, the setting is a delay for how long to wait.
  • #(295) - Correct a parse bug in an associated-array initialization statement
  • involving scoped identifiers.
  • #(291) - Correct an indexer crash when the argument file contains a directory
  • path rather than a file path. The indexer now ignores the directory path, and
  • the argument-file parser adds an error marker.
  • #(293) - Correct block-comment colorizing in argument files
  • #(296) - Indenter behavior with "assert" and "randomize with" inconsistent
  • #(297) - Correct a parse bug involving xor/or/and array-reduce methods

New in SVEditor 1.4.7 (Nov 18, 2013)

  • #(enh) - Initial support for reference indexing and searching in an index
  • #(enh) - Add support for a Design Hierarchy view that shows the module
  • hierarchy of each project.
  • #(enh) - Clean-ups to script launcher tab

New in SVEditor 1.4.6 (Nov 9, 2013)

  • #(280) - Correct an issue with the order in which editors checked the type
  • of the editor input. The fix results in improved support for nested projects
  • and linked resources, where the same physical resource may be linked into
  • multiple projects.
  • #(enh - 70) - Add initial support for launching build scripts from SVEditor.
  • Error messages (currently, just Questa compilation messages) are recognized
  • and problem markers added.
  • #(288) - Correct an issue with Next/Previous word selection when folding
  • is enabled.

New in SVEditor 1.4.5 (Nov 5, 2013)

  • #(enh) - Cause assign statements to show the relevant LHS and RHS expressions
  • in the Outline view
  • #(enh) - Change content-assist proposal for name-mapped module-instance
  • parameters. Now, a module-port proposal includes the parens and prompts the
  • user to specify the connected net.
  • #(enh) - Add SVEDITOR as a built-in pre-processor directive. This can be
  • used to disable processing of code that the SVEditor parser does not
  • currently support.
  • #(nobug) - Corrected a bug where, in some cases, the types declared local
  • to the file being edited were not being searched during content-assist operations
  • #(enh) - Add content-assist support for task/function name-mapped parameters
  • - #(enh) - Add content-assist support for name-mapped module, interface, and
  • class parameters.
  • #(285) - Correct a bug in the clocking block parser involving assertion
  • items (eg property) declared within the clocking block.
  • #(284) - Ensure that 'pre-formatted' portions of NaturalDocs comments are
  • presented in fixed-width font in the doc hover
  • #(286) - Correct a pre-processor issue with macros inside a string parameter
  • to a macro. For example:
  • `ovm_info("id", $psprintf("Not a macro: `ovm_do"), OVM_MEDIUM);
  • The pre-processor should not expand `ovm_do, and now it does not.
  • #(287) - Add support for case inside statement, which allows value ranges
  • for case items.

New in SVEditor 1.4.4 (Oct 29, 2013)

  • #(283) - Correct a case where a folded-by-default folding region was auto-folded after pasting content into the region.
  • #(nobug) - Ensure folding is only applied when the file is initially opened
  • #(78 - enh) - Add preference page to control folding
  • #(enh) - Add support for override annotations. Override annotations are displayednext to tasks and functions that override a method from a super class. Hovering over the annotation displays information about the base method. Clicking on the annotation navigates to the super method.

New in SVEditor 1.4.3 (Oct 22, 2013)

  • #(282) - Remove the use of a Java 7 API (Character.isAlphabetic)

New in SVEditor 1.4.2 (Oct 21, 2013)

  • #(nobug) - Corrected some instability in folding regions that could
  • cause folded regions to change their expanded state after modification
  • by the user.
  • #(enh) - Make 'unprocessed' regions (eg `ifdef UNDEFINED) collapsed
  • by default.

New in SVEditor 1.4.0 (Sep 23, 2013)

  • (enh) - Switch over to the 'new' indexer. The new indexer offers improved performance and support for MFCU (multi-file compilation unit) indexing mode.
  • (nobug) - Correct a pre-processor bug with the 'new' indexer that allowed FILE and `__LINE macros to be expanded within a text region otherwise disabled by `ifdef/`ifndef. This bug is typically observed as a parse-error marker within a disabled region.
  • (nobug) - Ensure that include-file content assist works for files not associated with an index.
  • (nobug) - Convert a couple of pre-processor error messages to markers.
  • (nobug) - Correct a content assist error related to a null-pointer exception on UVM macro vs enumerator.
  • (279) - Fix indenting when a vector is placed in a case statement case (some_sig[3:2])

New in SVEditor 1.3.9 (Sep 6, 2013)

  • Correct a bug in the argument-file document partitioner that caused incorrect completions to be returned in some cases when no leaf element was supplied. For example: ${workspace_loc}/
  • Add argument-file content assist support for projects in the workspace. Now, requesting content assist for ${workspace_loc}/ returns a list of projects in the workspace.
  • Remove `ifndef guards from standard and methodlogy templates
  • Re-enable Open Declaration and content assist for macros in the 'new' indexer
  • Correct an issue with include-file caching in the 'new' index, where include files with a common leaf element would match. For example, my_cls.svh would be used when the actual include was cls.svh.
  • Allow generate statements to include task calls. Task calls are used in some cases to force errors in case of faulty elaboration
  • Add back support for -y (libdir) and -l (libfile) to the new' index in both SFCU and MFCU mode
  • Correct an issue with auto-indent around if/else blocks without begin/end
  • Clean up some debug messages displayed on the console

New in SVEditor 1.3.8 (Aug 27, 2013)

  • (nobug) - Correct an issue with the 'new' index and incremental parsing. In some cases, macros from cached include files were not found.
  • (nobug) - Correct an issue that could cause compilation-argument import to fail on Unix due to wrapper scripts having Windows-style line endings. Now, line endings are correctly converted.

New in SVEditor 1.3.2 (Jul 26, 2013)

  • Correct a bug in the build procedure that could cause the template-engine plugins to be deployed without any .class files.

New in SVEditor 1.3.1 (Jul 25, 2013)

  • Add logic to the spelling reconciler to check for null handles returned from the annotation model, and deal gracefully with that.
  • Correct an issue with the 'new' index where content from a macro expansion had no file ID
  • Add 'Include Hierarchy' element to the outline view of the argument file editor. As with the 'Include Hierarchy' element in the SV editor outline view, this shows the include path back to the root argument file.
  • Note: this is only enabled for the 'new' argument-file index
  • New index: ensure .svproject file is re-read after project import if project data was incorrectly creasted prior to existence of .svproject file.

New in SVEditor 1.3.0 (Jul 20, 2013)

  • Correct parse error with |-> property expression

New in SVEditor 1.2.8 (Jun 21, 2013)

  • Correct an issue that caused certain operators to not be correctly colored in the editor. Due to history, operator lists were stored in different places in the code. Now, all operators are listed in the SVOperators class.
  • Correct an off-by-one line numbering issue with the Argument File editor. This issue caused errors to be annotated one line off from where they should have been positioned.
  • Enhance argument-file editor to react to resource-variable changes.
  • Correct a build issue with 1.2.7 that caused the template-instantiation wizard to not load.
  • Enhance support for editing files that are not part of an index, but are inside a project where indexed files are configured. This often happens, for example, when a new file is added to a project. With the enhancements, macro definitions are pulled from the project's index.
  • Correct a bug involving selected-word highlighting for words containing regular-expression character.
  • Correct a bug involving first_match in an assertion.
  • Correct a bug involving 'within' used within an assertion.

New in SVEditor 1.2.7 (May 20, 2013)

  • Correct a parse bug involving a package-qualified parameterized-class type reference (uvm_pkg::uvm_resource_db #(type)::set)
  • Spurious parser error with input in clocking block
  • Correct issues with specifying argument files relative to ${project_loc}.

New in SVEditor 1.2.6 (May 17, 2013)

  • A few required patch-ups due to the plug-in reorganization. The SVT editor now properly displays its icon, and PNG images are now properly exported to the help area.
  • Template parameter references (ie ${my_parameter}) in SV files are now colorized.

New in SVEditor 1.2.5 (May 15, 2013)

  • Update SV editor to display a decorated icon for files that are part of an index.
  • Change index to detect the language variant used in a file based on its extension. .v, .vl, and .vlog are considered to be Verilog 2005 files, while the remainder are considered to be SystemVerilog files.
  • Add support for running JavaScript extension scripts from within SVE. There is now a launch configuration where a script and arguments can be specified.
  • Change 'shadow' index such that errors are not propagated to the filesystem. Previously, propagating markers made it appear that SVEditor was managing a file, while in reality it wasn't
  • Added an exception to allow parents around a dist statement. This isn't LRM-compliant, but some simulators appear to support it.

New in SVEditor 1.2.4 (Apr 19, 2013)

  • Correct issue with spell-checker when SVEditor is installed in an Eclipse installation without a built-in spellcheck engine. Added a specific spellcheck engine for SVEditor.

New in SVEditor 1.2.3 (Apr 15, 2013)

  • Indenter import `include / import statements not lined up
  • Changes the 'Add Project Path' option to specify a project-relative path (${project_loc}) rather than a workspace-relative path
  • Adds in-editor hyperlink mechanism similar to that in JDT. Only enabled for classes. Ctrl+MouseOver a class name, and it becomes hyperlinked with an option to open the declaration or hierarchy. Can be enabled/disabled in the general text editor properties under hyperlinking.
  • Add spell checking for comments in SV files
  • Update template wizard to support NaturalDoc formatting for template-element descriptions
  • Corrected a parse bug involving using 'super' or 'this' as the root element in a delay expression
  • Correct a parse bug involving lists of continuous assigns
  • Indenter update. Modified multi-line statements indent, so that lines after first line are indented.
  • Indenter update. Modified multi-line statements indent, so that lines after first line are indented.
  • Indenter update. Make multi-line if statements indent more like java (which also has problems). Still a bit of an issue to sort out here, typing doesn't behave the same as when you have the indenter re-format your code. Need to figure that out.

New in SVEditor 1.2.2 (Apr 1, 2013)

  • Updates to indenter for covegroups / points with lots of {}
  • Add new filters for the Import Compilation Arguments wizard:
  • Filter duplicate options/paths
  • Organize options before paths
  • Correct a parse error involving multi-step transition bins.
  • For example: (0,1,2 => 1,2,3 => 2,3,4)
  • Update Compilation Argument Import wizard to enable automatic addition of the new argument file to the containing project's settings

New in SVEditor 1.2.1 (Mar 25, 2013)

  • Ensure new compilation-wrapper scripts are included in the release

New in SVEditor 1.1.9 (Mar 18, 2013)

  • Correct an argument-file content assist issue involving +plusarg=.
  • Update NaturalDocs to recognize Constraint, Covergroup, and Coverpoint keywords
  • Add NaturalDocs info (if available) to the side of content-assist proposals
  • Correct issue with navigation/doc hover on type expressions of the form: ::::()
  • The root type is now properly resolved
  • Correct an issue with auto-indent and files with Windows-style line endings
  • Added operators: "--", "++", "~&", "~|"
  • Updated SVCodeScanner to recognize that "?" is also a valid operator character
  • Covergroup with function sample was creating errors, and cratering the file in progress. Modification done to run to end of line, mand treat as a normal, simple covergroup.
  • Outline view does not jump when selecting primitives
  • Outline view does not jump when selecting properties
  • Fixed auto-indenter surrounding @(...); inside an initial block
  • Fixed auto-indenter casez and casex not handled
  • Updated auto-indenter to add "dist" in constraints
  • Fixed auto-indenter, multi-line assign statements weren't being indented
  • Support this case (if (a inside b)) as a non-LRM-compliant case. Added an extensible preference scheme to allow the user to selectively enable non-LRM cases.
  • Enhancements to template parser, wizard, and editor. Add support for documentation of template parameters.

New in SVEditor 1.1.8 (Mar 4, 2013)

  • Colorizer: Add different colors for braces
  • Colorizer: Add colorization of numbers
  • Colorizer: Add colorization of operators
  • Resolve a deadlock involving cache invalidate during index rebuild
  • Correct an issue with behavioral @(*) statements
  • Enhance type search during content assist and navigation to include the scope in which the target variable/field is declared

New in SVEditor 1.1.7 (Feb 18, 2013)

  • Correct unusual unindenting behaviour when spaces-for-tabs enabled
  • Made some improvements to the "Refresh Index" status bar... now does more than 2 or 3 steps. Still some work to do but better than it was.

New in SVEditor 1.1.6 (Feb 7, 2013)

  • Correct a thread deadlock related to indexing and other threads

New in SVEditor 1.1.5 (Feb 6, 2013)

  • Correct a recently-introduced bug that prevented the project path settings from being taken back to their defaults (ie remove all file paths)
  • Correct an issue that caused files that were not handled by an index but were opened by an editor to be shown as 'indexed' in the project explorer. These files are now shown as 'not indexed' (ie no blue dot)
  • Correct an issue preventing argument files not associated with project settings from providing project-variable content-assist proposals
  • Correct a bug with assignment content assist. Now, content assist works with part-select of LHS: my_var[3:0] =
  • Detect project close and remove associated indexes.
  • Add support for the argument file -F switch. This switch includes an argument file, just as the -f switch does. However, file paths within the included argument file are resolved relative to the directory containing the included argument file.

New in SVEditor 1.1.4 (Feb 1, 2013)

  • Block Comment Behavior results in nested block comments
  • Boost performance of macro processing when many macros are referenced.
  • Add the following file extensions to the recognized set:
  • .vh, .vp, .vs, .svp, .svi, .v95, .v95p
  • Resolve 'Resource Closed' exceptions from the decorators that add indexed and error annotations to files in the project explorer.

New in SVEditor 1.1.3 (Jan 21, 2013)

  • Filter content-assist proposals for super- tasks/functions from content proposals
  • Content assist: add containing-class information for variable proposals when available and appropriate.
  • Add code structure-aware content assist proposal ordering. This causes proposals from the current class, for example, to be presented ahead of other matches from a super class.

New in SVEditor 1.1.2 (Jan 16, 2013)

  • Correct issue with Open Declaration in an argument file when the path extends to the end of the file.
  • Correct a content-assist bug involving content post-!=
  • Update quick-view shortcuts to be function in all content regions -- code, comment, etc

New in SVEditor 1.1.1 (Jan 7, 2013)

  • Overly-aggressive ignore pattern in .gitignore prevented an icon from being committed. Add missing icon and correct .gitignore
  • Correct ArgFile content-assist issue when cursor is placed at the very end of the file.

New in SVEditor 1.0.9 (Jan 3, 2013)

  • Major update to support for argument files. Argument files are now treated as first-class members of the index subsystem, enabling tracking of errors from argument files. The argument-file editor now parses the argument file to show missing-file paths on-the-fly.
  • The editor also supports navigation to referenced file paths.
  • Argument-File Index now adds errors for missing root argument files to the project on which they are specified.
  • Initial work on argument-file parse and error propagation
  • Add Open Declaration support to the Arg-File editor.

New in SVEditor 1.0.8 (Dec 29, 2012)

  • Add support for assertion unary 'not'
  • Correct issues with UVM Agent template
  • Update indexed-files decorator to decorate folders containing indexed files as well. This should make it easier to understand which files are being indexed.
  • Remove a stack-trace print that occurred when an expression traversal failed. Convert to a debug message.
  • .svproject files are now only created when the content is different than the default content.

New in SVEditor 1.0.7 (Dec 20, 2012)

  • perl: error while loading shared libraries: libperl.so: cannot open shared object file: No such file or directory

New in SVEditor 1.0.6 (Dec 10, 2012)

  • Correct a parse error involving sized enum-variable types. For example: my_enum_type [3:2] enum_var;
  • Correct an issue with the template processor that prevented substitution of variables in some cases where the value will be used as a variable. For example, in a PERL script: $${MY_VAR_NAME} = $ENV{${MY_VAR_NAME}}
  • Implement highlighting of matching words in the document. The implementation is pretty basic, and simply highlights all matching words to the selected word regardless of the context of the matching word.

New in SVEditor 1.0.5 (Dec 3, 2012)

  • Correct a keyword conflict on the 'unique' keyword. It should be treated as a keyword in a constraint context, but as an identifier elsewhere.
  • Correct a parse bug involving empty case items
  • Parser error - Null-Pointer-Exception involving generate-if statements
  • Enable enums and unions to be declared in behavioral blocks (eg tasks)
  • Correct a parse error with referencing a const field in a parameterized class. For example: a = pkg::cls#(mytype)::const_value;
  • Correct pre-processor issue involving macro references with the opening paren of the argument list being placed on a new line. For example:
  • `my_macro
  • ARG1, ARG2,
  • ARG3
  • Add support for anonymous-type forward declarations. For example: typedef my_fwd_decl;

New in SVEditor 1.0.4 (Nov 26, 2012)

  • Tab behavior when pasting code, which is auto-indented
  • Correct issue with pre-processor interpreting parens in quoted string
  • Correct an issue with naming of the plugin lib index, which prevents multiple instances from accumulating
  • Correct a parse bug with event activation with a repeat (->> repeat(10)...)
  • Correct a pre-processor issue that caused problems with a concatenation expression
  • Capture names of for loops in generate blocks if given
  • Correct bug involving outline view incorrectly setting the selection in the editor after an editor change.
  • Update lexer to support multiple contexts. This is the first step toward supporting multiple language variants.
  • Enhance auto-indenter to support generate blocks

New in SVEditor 1.0.3 (Nov 15, 2012)

  • Parse error when using a named-parameter reference within an assert statement
  • Correct bug preventing global/package variable declarations from appearing in content-assist results
  • Correct 'Content Assist Shows Blank Item' issue. ModuleInst items were being added to the proposal list in addition to ModuleInstItem items.
  • Correct bug in the pre-processor's handling of recursively-included files
  • Outline view button fixup, the default states of buttons weren't being correctly on some buttons
  • Captured names of generate statements if they are there. This will make generate statements in the outline view more useful.

New in SVEditor 1.0.2 (Nov 12, 2012)

  • Improve performance of pre-processor when files contain many references to undefined macros.
  • Correct parsing of specify-if statements

New in SVEditor 1.0.1 (Oct 26, 2012)

  • Correct a couple of places where paths were not being correctly normalized (back-slashes converted to forward slashes). This resulted in some problems on the Windows platform.
  • Corrected a parse bug involving clocking blocks

New in SVEditor 1.0.0 (Oct 2, 2012)

  • Add Open Type dialog to assist in quickly and easily navigating to an arbitrary type
  • Change Objects Quick View to use Hover colors. This makes things look better on Ubuntu 12.04
  • Correct bug with Doc Hover not finding doc comment for a parameterized class.
  • Add decorators to files in the Project Explorer that reflect whether a file is managed by the index in the containing project. This can be helpful in troubleshooting index configuration.
  • Change log-output scheme to, by default, output only to the logfile. Also change output to the console to only be from a single thread
  • Convert Doc Hover error to a debug message
  • Change SVEditor console to not auto-raise on output.
  • Correct Literal decimal 'X' not supported
  • Correct a bug with macro default parameters
  • Added additional filters to outline view
  • CoverPoint/Group/Cross/Bins
  • Assertion/Property/Seuqnces
  • Constraints
  • Enum/Typedef
  • Correct stack overflow with recursive macro references
  • Correct issue where index does not react to a change in the global definition set
  • Correct a bug in the Source Collection dialog that prevented users from changing the default file extensions
  • Correct issues with content assist for types and enumerators in the package and class scopes
  • Correct a bug that prevented class parameters from being presented as content-assist proposals
  • Add missing system tasks: $time, $realtime, $urandom, $urandom_range
  • Limit maximum errors per file. Currently, error limit is hard-coded to 100
  • Update SVEditor Project Properties to place Library Path and Source Collection methods of specifying index paths on a new Deprecated tab. These methods of specifying index paths will gradually be removed. New development will focus on the Argument File method of index specification.

New in SVEditor 0.9.9 (Aug 28, 2012)

  • Enhance doc-comment finder to recurse through pre-processor file scopes (eg `ifdef scope) to locate doc comments.
  • New Class wizard and Override Method wizard now add NaturalDoc comments.
  • Fix a bug in the expression scanner (used for open declaration, doc hover, etc) involving expressions next to operators such as parens: "(get_name(), " for example. Now the identifiers are correctly located even when the cursor is at the beginning of the name.
  • Correct Doc Hover removes links. Links in NaturalDocs comments are now replaced with plaintext.
  • Correct issue where doc-comment parser was being too aggressive in removing what appeared to be vertical lines.
  • Add new-file wizards for module, interface, and package.
  • Add support for Doc Comment 'Interface' and 'Module' topics
  • Disable excessive debug output from comment parser

New in SVEditor 0.9.8 (Aug 25, 2012)

  • Improve doc-comment stripping to support multi-line comments
  • Update SVEditor to correctly provide the 'live' pre-processor view for the doc-comment hover
  • Fixes to lookup functionality underlying content assist to
  • correctly search up past single-statement behavioral scopes, such as if and while.
  • Correct content-assist problem inside do/while blocks. Since
  • a content-assist scenario typically results in a temporary syntax error, the do/while block was not being added to the AST.
  • Correct sorting regression in the Override Methods dialog.
  • Now, classes are properly sorted by inheritance order.
  • Correct issues with Module Hierarchy view. Now module hierarchy is properly displayed and elements of module instances are displayed correctly.
  • Correct an issue with the class-browse functionality of the New Class wizard. A class-cast exception was thrown due to changes in the SVEditor database.
  • Add double-click handler for New Class wizard. This reduces the number of mouse clicks required to create a new class.
  • Support array-type parameters for task/function override.
  • Update content assist to filter out task/function proposals from super classes.

New in SVEditor 0.9.7 (Aug 22, 2012)

  • Correct const-cast and integer-cast parse errors
  • Correct bug involving conflict between workspace/filesystem paths.
  • Explicitly set hover foreground/background to avoid Ubuntu 12.04 ugliness (black background / white foreground)

New in SVEditor 0.9.6 (Aug 17, 2012)

  • Enhance content assist to only show static/non-static fields based
  • on preceeding expression (ie . only shows non-static fields)
  • Enhance class-extension content assist to only return class proposals
  • Add support for macros with default-value parameters
  • Correct name-matching issue with content assist for ports in the
  • current module/interface
  • Add basic support for content assist of parameterized types
  • Generated documentation now opened within Eclipse
  • Support for definition lists in the documentation comments
  • Documentation comments now displayed when hovering a reference
  • to the element
  • Correct a bug in the editor-specific index iterator that,
  • in some cases, prevented content assist and open declaration from working
  • on declarations within the file.
  • Move clear portion of index rebuild into the main portion
  • of the rebuild thread
  • Make index-cache clearing an asynchronous operation
  • Add a preference to switch between using the browser widget
  • for hover information and using the default hover widget. For some reason,
  • there appear to be problems with the browser widget on Ubuntu 12.04
  • Correct code generator for method override to correctly generate
  • vectored parameters
  • Add support for content assist through class-local typedefs.
  • 3558081) - Correct an issue with space between ` and a macro name (eg ` MY_MACRO).
  • Correct an issue with incomplete macro reference on its own line (eg ` ).

New in SVEditor 0.9.5 (Jul 30, 2012)

  • Correct a bug in the package cache that caused only declarations
  • from first-level include files from being processed
  • Correct a string out-of-bounds when parsing a file with incomplete
  • include directives
  • Correct a parse bug when attempting to parse a partial
  • pre-processor declaration (eg `)
  • Correct a NPE from the label provider when displaying a function
  • with no type
  • Implement content-assist for interface fields referenced via a virtual interface field.
  • Add basic timeout support for content assist.
  • Add content assist for fields of interface-type module items
  • Add content assist for modports of interface-type items
  • Add double-click support to the path browsers for project-paths setup
  • Add content assist for ports of interface-type items
  • Add content assist for hierarchical module paths
  • Normalize paths to avoid cross-platform issues
  • Add content assist for modport-type module ports
  • Correct an issue with requesting content-assist at the very end of a file. For me, this often resulted in receiving incorrect content suggestions for super classes

New in SVEditor 0.9.4 (Jul 9, 2012)

  • Correct an issue with the package cache not restoring
  • the filename after a restart.
  • A package can now be displayed in the Class Diagram view. All classes
  • declared within the package are shown, along with relationships between the
  • classes.
  • Adds ability to create new class diagram by right clicking on class in existing diagram.
  • Adds enhancements to class selection in diagram. It should now be clear to the user what
  • is selected, and the selection can be cleared by clicking the background.
  • Trim leading/trailing spaces from template file paths
  • Correct non-blocking event-trigger operator (--> to ->>)
  • Correct parse bug involving an 'if' in a property statement
  • Parser structure changes and enhancements to the cache subsystem boost the
  • overall parse performance by 4-5x on sizeable parsing jobs

New in SVEditor 0.9.3 (Jun 13, 2012)

  • This release requires the Eclipse Zest feature.
  • Correct NPE when opening an object from the Objects view.
  • Outline quick view, activated by CTRL+o in the editor. Provides a quick way to navigate within the current file
  • Fix a bug with enumerator content assist from enum types declared within the current file scope
  • UML Diagram view added. The view is opened by selected a class and selecting 'Open Class Diagram' from the context menu, or CTRL+SHIFT+D.
  • Implement findPackageDecl API that provides a complete view of a package and the contents, included contents contributed by included files

New in SVEditor 0.9.2 (Jun 9, 2012)

  • Correct parse error involving parameterized-type static call with no parameter-values specified
  • Change handling of shadow index, so not stored in registry.
  • Change handling of index config to identify requests for an index from the registry that match base location, project, and type but differ in the configuration. These indexes are now disposed and a new index created.
  • Add support for showing the files managed by an index in the project explorer view. This should be helpful when debugging index issues
  • Add sorter to the Template Category pane in the New Template wizard
  • Update the template engine to support output files with directory hierarchy (eg filename="subdir/${name}_file.svh")
  • Add content-assist support for enumerators
  • 'Objects' view added. This contains a list of modules, interfaces, and packages declared within the active projects in the workspace
  • 'Objects' quick view, activated by CTRL+SHIFT+o in the editor. provides a quick way to navigate to other type declarations

New in SVEditor 0.9.1 (Jun 2, 2012)

  • Add preference page for specifying global template parameters. These can be used to customize the ${file_header} and ${file_footer} template parameters
  • Add ${user} and ${date} built-in template parameters
  • Add ${file_footer} entries to UVM template files
  • Add a trivially-simple editor for argument files (.f files). At the moment, the only real utility this editor provides is causing .f files to open within Eclipse and not in an external editor (at least on Linux)
  • Ignore #ifdef/#ifndef/#else/#endif directives in argument files (patch from contributor)

New in SVEditor 0.9.0 (May 30, 2012)

  • (nobug) - Add support for bind hierarchical target paths (patch from contributor)
  • (nobug) - Add support for -file within an argument file, in addition to -f (patch from contributor)
  • (3530165) - Correct bug with inside constraint and binary expression
  • (nobug) - Correct parse bug related to negated binsof statement (patch from contributor)
  • (nobug) - Correct a critical bug with keyboard-driven selection, where the selected text was not picked up by Copy (eg CTRL+C)

New in SVEditor 0.8.9 (May 28, 2012)

  • Treat "_" as part of a word, not delimiter, during keyboard-driven selection
  • nobug) - Updates to project-paths settings trigger update of the Project Paths
  • entry in the Project Explorer
  • Correct a bug in parsing bind of a hierarchical path
  • Correct a lurking issue regarding reparenting of newly-parsed active-file content. Now, we leave the parent pointer intact for children.
  • Correct a bug in parsing "throughout" expressions
  • Soft constraint support added (patch from contributor)
  • Add a message to the editor Status Line indicating which index
  • manages the active file. Hopefully this will help in troubleshootingindexer behavior
  • Change behavior of the Shadow Index, the index that is created to manage a file that is otherwise not managed by an index.
  • Previously, this index collected all SV files in the target directory and indexed them. Now, this index only manages the target file. This should result in less ambiguity as to which files are actually managed by an explicit index.

New in SVEditor 0.8.8 (May 21, 2012)

  • Correct parse error with new ; statement
  • Enhance template infrastructure with support for user-defined template parameters. Currently, integer-, identifier-, and class-type parameters are supported.
  • Add a form-based editor for the XML-formatted template descriptor file
  • Correct parse error with multi-dimension vectors (eg wire [3:0][3:0])
  • The parser does not handle a #delay statement that uses an array

New in SVEditor 0.8.6 (Apr 17, 2012)

  • Ensure content assist for macros is provided without name-mapped
  • parameters. SV only supports positional parameters for macros.
  • Expanded outline-view paths are now saved and restored when
  • the file contents change
  • Outline view now identifies cases where an update is due to
  • the file changing, and does not re-set the editor selection.
  • Debug is now controlled in four levels: off, minimum, medium, and maximum
  • Update auto-indent to indent `include directives inside current scope (eg package)
  • Add basic template capability for several UVM features:
  • UVM Agent
  • UVM Environment
  • UVM Test
  • UVM Sequence

New in SVEditor 0.8.3 (Mar 12, 2012)

  • Increase performance of the persistence mechanism used by the indexer by around 10x.
  • Filter 'NullStmt' items from the outline view

New in SVEditor 0.8.2 (Mar 5, 2012)

  • Parameters declared in tasks not recognized
  • Correct problem with argument-file parser that could result in a 'hang'

New in SVEditor 0.7.1 (Nov 22, 2011)

  • Correct parse bug involving >>> operator
  • Correct parse bug involving K&R-style function prototype:
  • function void myfunc;
  • input a, b;
  • output c, d;
  • // ...
  • endfunction
  • Correct parse bug involving modport-type field
  • Implement support for library paths (-y switch). The index will
  • add all files with SV extensions (.sv, .v, .vl) in the specified directory
  • to the index file list

New in SVEditor 0.6.1 Alpha 10 (Oct 24, 2011)

  • Hook up Tab Width preference to override default Eclipse behavior of
  • always translating a tab to 4 spaces
  • Correct a bug involving single-line macros with a trailing comment. Correct
  • behavior in this case is to trim the comment, while correct behavior in the
  • multi-line case is to preserve the comments.
  • Track include files that cannot be located and only search once for them.
  • This should improve the parse speed
  • Correct a parse bug with delays involving a hierarchical identifier. For example:
  • a.b;
  • Hook up the Eclipse Linked Path Variable manager, which enables to user to
  • define variables using Preferences->General->Workspace->Linked Resources,
  • then refer to them in a .f file or other path used by SVEditor.

New in SVEditor 0.6.1 Alpha 5 (Sep 12, 2011)

  • Correct an auto-indent bug with an initial statement with a single statement
  • (not a block)
  • Correct an auto-indent bug with struct and union variables
  • Correct content-assist issue with scopes within interfaces and modules
  • Correct a parse bug with task/function calls having unspecified
  • (default) parameters
  • Correct auto-complete issue with labeling end of blocks
  • Correct parse errors with empty named-mapped task/function parameters:
  • function void foo(int a=2, int b=3);
  • ...
  • foo(.a(), .b()); // same as foo(2, 3) or foo()
  • Correct open-declaration support for struct and union fields
  • Add support for argument files that sub-include argument files using the
  • f argument (eg -f sub_argument_file.f)

New in SVEditor 0.6.1 Alpha 4 (Sep 5, 2011)

  • Correct macro-expansion bug with string containing unbalanced paren
  • Correct parse error with name-mapped struct initialization
  • Correct parse error on a function with implicit vectored return
  • Correct parse error with streaming operators with slice size specified
  • Correct a parse bug with initialized struct fields

New in SVEditor 0.6.1 Alpha 3 (Aug 29, 2011)

  • Correct non-blocking event trigger
  • Correct parse bug with packed and signed/unsigned structs
  • Add parse support for timeprecision / timeunit directives
  • Correct parse error with strength-specified assign
  • Correct parse error with virtual interface-parameterized class static method invocation

New in SVEditor 0.6.1 Alpha 2 (Aug 29, 2011)

  • Correct a parse bug with time unit 's'
  • Implement parse support for stream operators (eg {

New in SVEditor 0.6.1 Alpha 1 (Aug 29, 2011)

  • Re-release of 0.6.0.ea10. Looks like Eclipse thinks version 'ea10' is less than 'ea9'
  • Enhance parser to accept attributes on task/function calls
  • Multi-dimensional array parse error (string my_string[][2];)
  • Enable module-port-connection content assist when only '.' is specified

New in SVEditor 0.6.0 Alpha 10 (Aug 29, 2011)

  • Tighten up lexing of numbers. Ensure decimal numbers are not parsed as hex numbers.
  • Ensure floating-point constants are parsed correctly.
  • Enhance parser to accept attributes on task/function calls
  • Multi-dimensional array parse error (string my_string[][2];)
  • Enable module-port-connection content assist when only '.' is specified

New in SVEditor 0.6.0 Alpha 9 (Aug 29, 2011)

  • Correct parse error on class type with a virtual-interface
  • parameter.
  • For example: class my_class extends my_super #(virtual my_interface)
  • Correct problem with open-declaration in a scope with an assert statement

New in SVEditor 0.6.0 Alpha 7 (Jun 13, 2011)

  • Correct a problem with inclusion of the new UVM plug-in in the SVEditor feature

New in SVEditor 0.6.0 Alpha 6 (Jun 6, 2011)

  • Correct lexer bug with whitespace in based numbers, such as "32 'h 2A"
  • Correct bug with macro reference adjacent to ':' (eg `A:begin)

New in SVEditor 0.6.0 Alpha 5 (Jun 1, 2011)

  • Correct parse bug related to parameterized static-reference expressions
  • (eg int a = foo #(A)::b;)
  • Correct parse bug related to parameterized static-assignment expressions
  • (eg foo #(A)::b = 2;)
  • Correct a macro-argument processing issue related to string macro arguments
  • that contain commas
  • Correct a bug in support for unbased, unsigned literals: '0, '1, 'z, 'x
  • Correct parse bug with initialized associative arrays
  • Correct parse bug involving a delay value derived from a field reference
  • (eg #obj.foo;)
  • Correct expansion of macros containing embedded parameter references
  • (eg `define MY_A_MACRO `define MY(P) `MY_``P``_MACRO)
  • Correct parse bug related to type parameters (foo #(unsigned int) bar;)
  • Enable macros with embedded single-line comments
  • Improve ability to distinguish between type declarations and
  • type-based references

New in SVEditor 0.6.0 Alpha 4 (Jun 1, 2011)

  • Corrected issue with recursive inclusion of files
  • Correct bug in error-recovery logic, resulting in a parser hang
  • Correct a bug with parsing port-map wildcards: module_inst A

New in SVEditor 0.6.0 Alpha 3 (Jun 1, 2011)

  • Correct a parse error with the '**' operator
  • Correct an infinite loop when a parse error occurs in a class scope
  • Temporarily disabled file-structure display in the Project Explorer

New in SVEditor 0.6.0 Alpha 2 (Jun 1, 2011)

  • Correct a few bugs with the editor outline view.
  • Module instances, imports and a few other things affected by the
  • SVDB structure changes are now correctly displayed.
  • Covergroup items are not expandable now
  • Covergroup options are now displayed correctly
  • Correct a parse error with coverpoint sized array bins (bins foo[8] = ...)
  • Update 'Rebuild Index' command on the context menu of the Project Explorer
  • to immediately request a build of the index after invalidating it.
  • Correct open-declaration for super-class reference

New in SVEditor 0.6.0 Alpha 1 (Jun 1, 2011)

  • Enhance SVEditor to make two time-consuming startup tasks
  • (index-afinity discovery and file parse) threaded operations.
  • This has the effect of making SVEditor open more quickly.
  • Major changes to the parser. Nearly all SystemVerilog constructs
  • are fully parsed. Previously, many were lexically processed via
  • a scanner.
  • Use a backing cache for files in the index. This permits faster
  • startup time, and should be more scalable, since the database need
  • not be entirely in memory

New in SVEditor 0.4.1 (Jun 1, 2011)

  • Implement support for escaped identifiers.
  • Correct bug with files with upper-case filename extensions (eg file.SV)
  • Trim update site to only carry the latest release

New in SVEditor 0.4.0 (Feb 20, 2011)

  • (#3181880) Critical bug related to restructuring of the SVDB
  • Corrected a bug with the Outline view, also due to SVDB restructuring

New in SVEditor 0.3.9 (Feb 15, 2011)

  • Correct a problem with the SVEditor Outline view that prevented the viewer
  • from updating in response to on-the-fly changes in the editor.
  • Correct parse bug related to pre- and post- increment/decrement expressions
  • (#3176354) Update parser to correctly support all gate-level primitives
  • (#3177127) Correct a problem with relative include paths that extend above
  • the workspace location.
  • (#3176424) Corrected the functionality of the Edit button on the Source Collections project properties tab.

New in SVEditor 0.3.8 (Jan 19, 2011)

  • Correct critical bug in windows file-pattern matching

New in SVEditor 0.3.7 (Jan 16, 2011)

  • Fix bugs in build of 'Developer Docs' plugin-in
  • Enable handling of enum forward-declaration in the parser
  • (#3153829) Change 'shadow' SourceCollection index, which is created when a file outside an existing index is opened, to only index sources in the top-level directory.
  • Update the file-finding code (used by SourceCollection) to identify and
  • skip excluded directories. This makes search more efficient.

New in SVEditor 0.3.6 (Jan 9, 2011)

  • Integrate the current 'Getting Started' guide into the Eclipse help system.
  • Add initial "SVEditor Internals" documentation -- for now, just the JavaDoc-created API document.

New in SVEditor 0.3.5 (Jan 9, 2011)

  • Improve support for parsing and preserving import statements. Imports are
  • parsed and represented in the AST, as well as being shown in the Outline view.
  • This improved support also resolves issue #3135375.
  • Add Initial Eclipse Search support. Search can now be accessed via the
  • Search->SystemVerilog menu item (or, alternatively, the SystemVerilog tab
  • on the Search dialog).
  • Searching for declarations is supported (reference search unsupported)
  • Searching for Types, Methods, Packages, and Fields supported
  • The 'List' format for search results has reasonable support, while the
  • 'Tree' format is mostly unimplemented
  • Change SVDBLocation to track line position in addition to line number.
  • Implement styled text for label providers. The effect of this change can be
  • observed in the Outline view. Field types and function return types are now
  • displayed with different styling than the name of the function/field.
  • [Internal API] Update the ISVDBItemIterator interface to support contextual
  • scanning. This allows the client to, for example, scan to the next package
  • declaration without seeing all elements in between.
  • Add a version to the saved parse database. This enables a better message to
  • the user when a new SVEditor version chooses to rebuild a database due to
  • a format difference.

New in SVEditor 0.3.4 (Dec 25, 2010)

  • Add parse support for 'defparam'
  • Correct auto-indent bug in moving a non-whitespace line down.
  • Correct auto-indent bug involving leading whitespace when moving a line down the page.
  • Add support for module hierarchy display via the 'F4' shortcut

New in SVEditor 0.3.3 (Dec 16, 2010)

  • Resolve parse error with delayed assign
  • Correct a bug with the Library Index related to relative include paths
  • that extend outside the project directory.
  • Enable 'Open Declaration' for updir-relative include paths
  • (eg `include "../../../my_h.svh").
  • Parser/scanner now parses through more of the behavioral block statements. Since there are many SystemVerilog statements that don't end with a ';', this makes parsing more reliable.
  • Correct parse errors discovered when adding tests based on OpenCores projects
  • Correct a parse error with 'if' statements attached to an always.
  • Enhanced parser to begin parsing through behavioral statements
  • Enhanced parser to allow initialized nets
  • Correct parser bug with reduction operators in expressions
  • Correct a parse error with concatenations in assigns
  • Correct parse bug with non-ansi task/function parameter declarations
  • Enable multiple comma-separated module instances
  • Enable basic parsing of specify blocks
  • Enable basic parsing of pullup/pulldown
  • Correct a pre-processor problem with macro arguments that are
  • concatenations
  • Enhance the pre-processor to exclude single-line comments from
  • macro definitions, while including multi-line comments
  • Correct a problem with deeply-nested macro expansion where a trailing ')' was left in the expansion text
  • Fix parse error with import statements prior to the module
  • port declaration

New in SVEditor 0.3.2 (Nov 30, 2010)

  • (#3118954) Correct a parse error with sized references to an enum type
  • (#3118954) Correct a problem parsing content after a package declaration
  • (#3118945) Add a filter to the editor to gracefully deal with files that contain \r-delimited lines. Generally, this results when a file created on the Windows OS is mangled in some form. \r-delimited lines will be automatically transformed to \r\n-delimited lines and a message will be displayed in the console.
  • Fix an issue with FileSystem indexing, where adding a new file to the FileSystem and attempting to open it causes the index to report that the file "isn't in the FileTree Map". Solve by re-building the index whenever a file is opened that exists on the FileSystem but isn't in the FileTreeMap
  • (#3121178) Correct a windows-path issue that caused an opened file to be associated with the wrong index, and consequently an internal error.

New in SVEditor 0.3.1 (Nov 30, 2010)

  • (#2970554) Correct a crash due to a missing file when debug logging was
  • enabled.
  • (#3031087) Support CTRL+SHIFT+F binding for formatting file
  • Correct indenting of always_comb, always_latch, and always_ff blocks
  • (#3118081) Correct paste bug related to always_comb
  • (#3118472) Correct outdent bug with empty enum declaration.
  • Correct a bug when pasting text that does not end with a newline. Previously,
  • the last token of the pasted text (eg endmodule) would often be dropped.
  • Interestingly enough, this bug was being masked by a bug in the test
  • results comparison.
  • Support proper indent of forward typedef enum (eg typedef enum A;)
  • (#3118342) Added a workaround for interoperatbility of tabs and spaces in
  • 'insert-spaces' mode. It seems Eclipse tries to be 'smart' and insert
  • fewer spaces based on the number of preceeding tabs on the line. For example,
  • if the line starts with 1 tab, then a subsequent space-inserting tab will
  • only insert 3 spaces. If the line starts with 2 tabs, then only two
  • spaces will be inserted.
  • (#3118464) Corrected a problem with content assist in an empty file
  • (#3115123) Initial implementation of Add/Remove Block Comment operations.
  • CTRL+SHIFT+/ comments selected block, while CTRL+SHIFT+\ uncomments block

New in SVEditor 0.3.0 (Nov 30, 2010)

  • Correct parse errors with assignment expressions containing complement (~) and system tasks (eg $abs(a))
  • Correct a bug with indenting of nested modules
  • (#3110581) Enable use of indenting-related preferences in the
  • General->Editors->Text Editors category. Now, indent and auto-indent respect
  • the 'Insert spaces for tabs' preference and use the 'Displayed tab width' preference to select how many spaces to indent.
  • (#3115322) Fix a problem with the pre-processor that prevented files with
  • unbalanced pre-processor conditionals from being opened

New in SVEditor 0.2.9 (Nov 30, 2010)

  • Initial parse support for generate blocks (for, if, case)
  • Correct some issues with handling of clocking blocks. SVEditor is still skipping over the clocking-block content, but should result in fewer parse mistakes.

New in SVEditor 0.2.8 (Nov 30, 2010)

  • Correct problems with expansion of environment variables in argument-file paths
  • Correct problem with content assist on indexed array/queue fields
  • Correct module-port parse bugs
  • sized un-typed ports (eg module foo([3:0] a); )
  • interface ports (eg module foo(interface a); )
  • interface ports with multi-dimension vectors
  • sized parameters

New in SVEditor 0.2.7 (Oct 26, 2010)

  • Correct a problem when opening a declaration in a file that isn't currently
  • open in an instance of SVEditor. Now, an error is emited and a cast class
  • is avoided.
  • Correct bugs around auto-indent of pasted content
  • Correct bug with indent of if/else when begin is on a new line
  • Correct a problem with adaptive indent when the end point for adaptive
  • training is a comment.
  • Correct bug with pre-processor. Macro-embedded conditionals are now properly
  • supported

New in SVEditor 0.2.6 (Oct 26, 2010)

  • Correct a content-assist bug related to array and queue data types (#3046751)
  • The cause was a parser bug that caused Array and Queue data types to not be
  • tagged properly.
  • Correct a content-assist bug with method-containing expressions, such as
  • field.task_call()..
  • Improved the Indenter to handle adaptive indent in a more-flexible and
  • more-reliable manner

New in SVEditor 0.2.5 (Aug 27, 2010)

  • Correct problem with setting cursor location of Open Declaration (#3034640)
  • Correct problems with compare and dump methods in the new TypeInfo classes.
  • These caused problems with index loading (#3034574 and #3034577)
  • Correct parse bugs with module ports
  • Untyped ports with specified directions
  • Modports: module foo(. mp);
  • Correct argument-file include-path resolution order. Now, if an identically-named file exists in multiple include directories, the correct file (first found, traversing the include paths in order) will be included and used.
  • Removed a dangling reference to the 'SystemVerilog Methodology Class'
  • wizard, which is a wizard for creating class templates that are specific to a methodology, such as OVM or VMM. This wizard was still accessible via the main menu 'New' menu, which should not be the case until this work is complete.
  • Add support for always variants (always_comb, always_iff, always_latch)
  • Correct content-assist issue (#3038561) when document length is 0

New in SVEditor 0.2.1 (Jul 6, 2010)

  • Corrected a pretty severe auto-indent bug related to pasting the opening (and not closing) portion of a multi-line comment. Now SVEditor does not attempt to auto-indent cases where newly-pasted content makes comment boundaries ambiguous.
  • Correct a bug with hierarchy-based navigation (ie Open Declaration) that caused navigation history to not be maintained properly
  • Extensive changes to the parsing framework, which will example improvements to the accuracy and extent of parsing.
  • Extended content assist to structs -- both typedef and inline-decl
  • Extended content assist to comprehend extern functions and search the corresponding class scope
  • Annotate editor with error markers from the parser. For now, this should be helpful in understanding where the parser is encountering parser errors.
  • As the parser adds features, this should be a useful tool for SystemVerilog developers.

New in SVEditor 0.2.0 (May 18, 2010)

  • Add basic support for code templates. Currently, no built-in code templates are provided, though contributions are very welcome. Suggestions for extending code-template support are, likewise, welcome.

New in SVEditor 0.1.9 (May 18, 2010)

  • Correct a macro-expansion bug with nested macros that was triggered by the VMM library source
  • Correct a bug with handling of endfunction/endtask with a end label

New in SVEditor 0.1.8 (May 18, 2010)

  • Make auto-indenter adaptive to surrounding scope indent. This means that
  • if class methods have the same indent as the class scope (aligned to margin),
  • the auto-identer will indent the method body statements one tab in from the
  • margin.
  • Corrected a bug with auto-indent paste and strings with embedded
  • control characters. In this situation, the pasted content would 'disappear'.
  • Enhance content-assist when editing parameterized classes by searching
  • class parameters as a data-type
  • Enable full-file indent via CTRL-I. Previously, this operation was diabled.
  • Resolved a bug in auto-indent scanner that caused some combinations of
  • empty lines to be collapsed into one
  • Filter __sv_builtin classes from super-class proposal list
  • Change default focus in 'New SV Class' wizard to 'Class Name' field
  • Add scanner support for 'pure virtual' tasks/functions
  • Auto-indent now recognizes foreach as a loop construct

New in SVEditor 0.1.7 (May 18, 2010)

  • Add class-creation wizard that supports browsing for a super-class and implementing the constructor with super-constructor parameters

New in SVEditor 0.1.6 (May 18, 2010)

  • Correct include-pathing issue for nested defines.
  • Correct macro-resolution bug for macros defined in sub-included files
  • Update list of supported directives. Most of these are ignored for now, but
  • produce no errors.
  • `celldefine
  • `endcelldefine
  • `line
  • `nounconnected_drive
  • `resetall
  • `unconnected_drive
  • `undef
  • `undefineall
  • Fix project explorer to not display error markers as part of the file structure

New in SVEditor 0.1.5 (May 18, 2010)

  • Resolve a bug in indent support for always
  • Resolve a critical bug with file paths on Windows

New in SVEditor 0.1.4 (May 18, 2010)

  • Add content-assist support for class typedefs. Now, class typedefs are
  • recognized as aliases for the underlying type.
  • Correct a bug with content assist for macros having no parameters.
  • Previously, these macros were displayed incorrectly in the content proposal.
  • Correct a bug with content-assist on the RHS of an '='.
  • Correct a bug with expression indenting. Fix bug / add tests for indenting of covergroups, coverpoints.
  • Fix bug with opening a file that exists in the root of a project
  • Correct a windows-specific bug that resulted in the built-in library
  • missing files
  • Correct a bug with indenting pre-processor directives such as `timescale
  • Collect and display errors as markers
  • Missing define
  • Missing include file
  • Annotate the parent index that manages the file
  • Expand testing of indexing
  • Library Index
  • Ensure new files are correctly merged in
  • On load, discovering a previously-missing file triggers an
  • index build (Workspace and Filesystem varieties)
  • During operation, adding a file that was previously a
  • missing include triggers index rebuild (Workspace only)
  • Ensure global defines are propagated
  • Ensure missing includes/defines are annotated
  • Argument File Index
  • On load, discovering an updated index triggers index rebuild
  • Ensure index is re-scanned when the argument file changes
  • Ensure global defines are propagated
  • Ensure missing includes/defines are annotated
  • Plugin Lib Index
  • Ensure new files added (ie new release) result in updated index.
  • SourceCollection Index
  • Update structure-determining logic to recognize
  • package,module,interface, and program-based structures
  • Ensure global defines are propagated
  • Ensure included files are located
  • Ensure missing includes/defines are annotated